Publications

2022

  1. SEED
    Pagoda: Towards Binary Code Privacy Protection with SGX-based Execute-Only Memory
    In 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED) 2022

2021

  1. MICRO
    Speculative Privacy Tracking (SPT): Leaking Information From Speculative Execution Without Compromising Privacy
    Rutvik Choudhary, Jiyong YuChristopher Fletcher, and Adam Morrison
    In 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2021
  2. ASPLOS
    Speculative interference attacks: Breaking invisible speculation schemes
    Mohammad Behnia, Prateek Sahu, Riccardo Paccagnella, Jiyong Yu, Zirui Neil Zhao, Xiang Zou, and 5 more authors
    In 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) 2021
  3. CACM Highlight
    Speculative taint tracking (STT) a comprehensive protection for speculatively accessed data
    Communications of the ACM 2021

2020

  1. OOPSLA
    Exposing cache timing side-channel leaks through out-of-order symbolic execution
    Shengjian Guo, Yueqi Chen, Jiyong Yu, Meng Wu, Zhiqiang Zuo, Peng Li, and 2 more authors
    Proceedings of the ACM on Programming Languages (OOPSLA) 2020
  2. MICRO
    Speculation invariance (invarspec): Faster safe execution through program analysis
    Zirui Neil Zhao, Houxiang Ji, Mengjia YanJiyong YuChristopher W FletcherAdam Morrison, and 2 more authors
    In 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2020
  3. ISCA
    Speculative data-oblivious execution: Mobilizing safe prediction for safe and efficient speculative execution
    Jiyong Yu, Namrata Mantri, Josep TorrellasAdam Morrison, and Christopher W Fletcher
    In 47th Annual ACM/IEEE International Symposium on Computer Architecture (ISCA) 2020
  4. IEEE Micro
    Creating Foundations for Secure Microarchitectures With Data-Oblivious ISA Extensions
    IEEE Micro 2020
  5. IEEE Micro
    Speculative Taint Tracking (STT): A Comprehensive Protection for Speculatively Accessed Data
    IEEE Micro 2020

2019

  1. MICRO
    Speculative taint tracking (STT): A comprehensive protection for speculatively accessed data
    In 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2019
  2. NDSS
    Data Oblivious ISA Extensions for Side Channel-Resistant and High Performance Computing
    In The Network and Distributed System Security Symposium (NDSS) 2019

2018

  1. ISCA
    UCNN: Exploiting computational reuse in deep neural networks via weight repetition
    Kartik Hegde, Jiyong Yu, Rohit Agrawal, Mengjia Yan, Michael Pellauer, and Christopher Fletcher
    In 45th Annual ACM/IEEE International Symposium on Computer Architecture (ISCA) 2018